VERY LARGE SCALE INTEGRATION (VLSI)
Pages
Home
Friday, July 13, 2012
AND GATE
library ieee;
use ieee.std_logic_1164.all;
entity and_gate is
port (
a : in std_logic;
b: in std_logic;
y: out std_logic);
end and_gate;
architecture and_gate_ar of and_gate is
begin
y <= a and b;
end and_gate_ar;
No comments:
Post a Comment
Newer Post
Home
Subscribe to:
Post Comments (Atom)
No comments:
Post a Comment