Pages

Saturday, July 14, 2012

NOR GATE


library ieee;
use ieee.std_logic_1164.all;

entity nor_gate is

port (

a: in std_logic;
b: in std_logic;
output: out std_logic);

end nor_gate;

architecture nor_gate_ar of nor_gate is

begin

y <= a nor b;

end nor_gate_ar;

No comments:

Post a Comment