VERY LARGE SCALE INTEGRATION (VLSI)
Pages
Home
Saturday, July 14, 2012
NAND GATE
library ieee;
use ieee.std_logic_1164.all;
entity nand_gate is
port (
a: in std_logic;
b: in std_logic;
output: out std_logic);
end nand_gate;
architecture nand_gate_ar of nand_gate is
begin
y <= a nand b;
end nand_gate_ar;
No comments:
Post a Comment
Newer Post
Older Post
Home
Subscribe to:
Post Comments (Atom)
No comments:
Post a Comment