Pages

Saturday, July 14, 2012

NOT GATE


library ieee;
use ieee.std_logic_1164.all;

entity not_gate is

port (
     
        x: in std_logic;
        output: out std_logic);

end not_gate;

architecture not_gate_ar of not_gate is

begin

y <= not x;

end not_gate_ar;

No comments:

Post a Comment