VERY LARGE SCALE INTEGRATION (VLSI)

Pages

  • Home

Sunday, July 15, 2012

VHDL code for Half Adder



library ieee;
use ieee.std_logic_1164.all;

entity half_add is

port (
a : in std_logic;
b : in std_logic;
sum : out std_logic;
carry : out std_logic);

end half_add;

architecture half_add_ar of half_add is

begin

sum <= a xor b;
carry <= a and b;

end half_add_ar;

Posted by Unknown at 12:27 AM
Email ThisBlogThis!Share to XShare to FacebookShare to Pinterest

No comments:

Post a Comment

Newer Post Older Post Home
Subscribe to: Post Comments (Atom)

VLSI

  • ►  2015 (1)
    • ►  11/22 - 11/29 (1)
  • ▼  2012 (30)
    • ►  07/22 - 07/29 (6)
    • ▼  07/15 - 07/22 (15)
      • VHDL code for 4x1 Multiplexer
      • VHDL code for 2x1 Multiplexer
      • Comparison of VHDL and Verilog
      • Difference Between Primary and Secondary Memory
      • VHDL code for Binary to Gray Code Converter
      • VHDL code for BCD-to-Decimal Decoder
      • VHDL code for 4-bit Parity Checker
      • VHDL code for Full Subtractor
      • VHDL code for Full Adder
      • VHDL code for D-flip flop
      • What is Encoder?
      • VHDL code for 2x4 line Decoder
      • 3x8 line decoder
      • VHDL code for half subtractor
      • VHDL code for Half Adder
    • ►  07/08 - 07/15 (9)
Unknown
View my complete profile
Picture Window theme. Powered by Blogger.